Puolijohdejätit: AMD, Intel, NVIDIA, TSMC, TI, Micron, Broadcom, Qualcomm, ASML yms

Tämän jos oikeasti saavat massatuotantoon (ts. yieldit ovat riittävän hyvät) niin se olisi ensimmäinen paikka jossa kenties saavat kaulaa TSMChen.

TSMC on virittämässä ihan samaa teknologiaa, mutta ei ole vielä 2024 mallissa.

Näissä tosin kannattaa muistaa että se, että jotain uutta hienoa viritystä esitellään tulevaksi ensi vuonna tuotantoon ei vielä tarkoita että homma onnistuu ja yieldit ovat riittävän hyviä. Tämä julkistus lähinnä tarkoittaa että Intel on ratkaissut ongelmasta sen verran että ensimmäiset testipiirit on saatu kasaan laboratorio-olosuhteissa ja on selvä suunnitelma miten näitä saadaan jauhettua massatuotantona. Ja aikataulu siihen massatuotantoon on selvillä. Aiemmat Intelin ongelmat ovat olleet nimenomaan tässä jäljellä olevassa askeleessa, eli miten siirtää teknologia labrasta massatuotantoon niin että kiekoista saadaan ehjiä piirejä tarpeeksi korkealla prosentilla.

12 tykkäystä

Ainahan tämä on osa prosessia kaikilla piirivalmistajilla, mutta tässä yhteydessä yieldeissä tuskin on haasteita, sillä esim. piirien viivakoko ei muutu miksikään.

Yleensähän yield-ongelmat syntyvät siitä, että entistä pienempiin viivakokoihin liittyy fyysisiä ja sähköisiä rajoituksia, kuten lämpötilan nousu ja vuotovirrat, jotka heikentävät yieldiä huomattavasti. Sutta tulee aluksi kaikilla.

Erilaisia haasteita on varmasti aina, mutta näitä tyypillisiä viivästyksiä aiheuttavia ongelmia ei tämän teknologian käyttöönottoon pitäisi liittyä. Intel itse muuten kehuskelee, että kilpailijat olisivat pari vuotta jäljessä tässä kehityksessä.

2 tykkäystä

Mahdollista. On myös mahdollista että Intel on lopulta pari vuotta jäljessä massavalmistuksen kanssa. Ei vielä voi tietää.

Positiivista Intelin kannalta on että periaatteessa tehdään nyt oikeita asioita aivan erilaisella vimmalla kuin joitain vuosia sitten. Toivottavasti projektit saadaan maaliin asti, maailma jossa TSMC vain lonkalta hilaa hintoja ylös vuodesta toiseen koska voi ei sekään ole kiva.

3 tykkäystä

Voisiko ajoitus olla parempi?

TSMC:n uusi tehdas Taiwanissa avattiin virallisesti eilen. Se pystyy CoWoS-paketointiin, jota Nvidian viimeisimmät GPU:t (AI) käyttävät. Kapasiteetti on miljoona kahdentoista tuuman piikiekkoa vuodessa ja 10 miljoonaa testituntia.

Jutussa ei kerrota tarkemmin, mutta tarkennuksena… yhdestä kiekosta saatavien piirien lukumäärä vaihtelee suuresti riippuen käytetystä teknologiasta, mutta jos oletetaan piirin kooksi vaikka 150 neliömillimetriä saa yhdestä kiekosta 10nm tekniikalla 200-400 piiriä (ballpark). Mitä pienempi viivakoko, sitä enemmän piirejä.

Etelä-Koreassa presidentti Yoon Suk Yeol kuvasi eilen siruteollisuuden kilpailua sanoin “all-out-war”.

Koko sektoria syövät elävältä valtioiden valtavat tukiaiset ja Etelä-Korea on niissä ihan kärkikastissa. Taustalla on kuitenkin USA-Kiina kädenvääntö ja erityisesti valmistuksen siirtyminen Yhdysvaltoihin massiivisten valmistuslaitosten myötä.

Etelä-Koreassa tilanne huolettaa varmasti siksi, että sen asema on uhattuna USA:n nousun myötä ja USA:n perustelut tukiaisille (Chips act) on sen aseman varmistaminen kilpailussa Kiinaa vastaan. Eli tärkeä liittolainen, Etelä-Korea, saa sivuosumaa (collateral damage). :slight_smile:

“The government and the private sector should come together to ride out challenges ahead. … The government will closely work with allies like the US to resolve issues involving the industry,” Yoon said at a meeting attended by senior officials, lawmakers and industry experts.

Meinasi unohtua… TSMC:n modernin valmistuslaitoksen rakentaminen kesti alle 3 vuotta. Rakentaminen käynnistyi heinäkuussa 2020. Tästä saa hyvää vertailukohtaa USA:ssa käynnistyneille (ja toivottavasti Euroopassa käynnistyville) hankkeille.

11 tykkäystä

TSMCn toteutuneen myynnin numeroita toukokuulta jotka viittaavat puolijohdelafkojen tilausten olevan kasvussa, eli loppuvuonna TSMCn asiakkailta voi odottaa parempaa - tosin arvailuksi jää miten paljon tästä on puhtaasti NVIDIAn tilauksia koska AI-kiihdyttimet viedään käsistä. Jos tämä ei ole vain NVIDIAn piirien rohmuamista, positiivista koko sektorille.

9 tykkäystä

Mielenkiintoinen artikkeli, joka kertoo TSMC:n “edistyksellisten prosessien” kapasiteetin nousseen kysyntänotkahduksesta 5nm prosessissa 50% → 70-80% ja vastaavasti 7nm kohdalla 30% → 50%. Ei ole vaikea arvata, että jutussa mainittu “Major customer” on Nvidia, joka yrittää vastata AI-piirien kysyntään.

Toistaiseksi Nvidian melko käsittämätön ohjeistuksen nosto näyttäisi näkyvän siis myös valmistuksessa, joten ihan hyvältä näyttää.

Apple lohkaisee jutun mukaan 3nm valmistuksesta valtaosan, mutta luultavimmin Qualcomm tulee heti perässä Snapdragon 8 Gen 3 -tarpeineen. TSMC kertoi jo tammikuussa, että prosessin yield on hämmästyttävä 80%, joten piiriä saadaan vauhdilla asiakkaille. Kyseessä on TSMC:n tämän hetken kannattavin prosessi ja luultavasti pitkään. Yllätyksettömästi kysyntä ylittää tarjonnan. Jutussa kerrotaan, että 3nm valmistus “contribute large amount of revenue from 3rd quarter”.

Lisäsin taiwanilaisen artikkelin Chrome-käännöksen (korjaamattomana) alle.

2023/06/12 01:56:54

Economic Daily reporter Zhong Huiling / Report from Taipei

It is reported in the industry that driven by Huida’s lead in increasing the amount of AI chip production, the utilization rate of TSMC’s (2330) advanced process capacity has recently revived, and the utilization rate of 5nm has increased from more than 50% to about 70% to 80%; 7nm capacity The utilization rate has gradually increased from the low level of 30% to 40% to around 50%.

TSMC does not comment on rumors about dynamics related to capacity utilization. According to the information previously disclosed by TSMC, the 5nm and 7nm processes contributed about 50% of the performance in the first quarter of this year, which is the main source of revenue. With the simultaneous increase in the capacity utilization of these two advanced processes, the legal person is optimistic about the TSMC’s follow-up revenue has been quite positive.

Industry insiders pointed out that TSMC’s 5nm process has a monthly production capacity of about 130,000 pieces. Previously, the capacity utilization rate was estimated to have dropped to more than 50%, and it has recently begun to heat up. The current monthly production is estimated to be about 90,000 to 100,000 pieces. The capacity utilization rate has risen to about 70% to 80%, which is faster than the original market expectation. This is mainly due to the fact that Huida, a major customer, has placed additional orders with TSMC in response to market demand. In addition, there are also orders for some mobile phones and mining applications.

In terms of 7nm process, TSMC’s capacity utilization rate is not as good as 5nm. The supply chain believes that TSMC’s capacity utilization rate may drop to only 30% to 40% in the few months before 7nm, but it has returned to about 50%. level.

In addition, in terms of TSMC’s most advanced 3-nanometer process, industry insiders mentioned that the most important customer is still Apple, which mainly produces the latest A-series processors equipped in the upcoming high-end iPhone 15 new machine. Other customers may Will be filmed later.

TSMC previously stated that because the client’s demand for its 3nm process exceeds its supply capacity, it is expected that the 3nm process will be fully loaded this year and will contribute a large amount of revenue from the third quarter. The N3E process will be mass-produced in the second half of the year.

TSMC announced last Friday that its consolidated revenue in May was 176.53 billion yuan, a monthly increase of nearly 20%, two consecutive months of growth, and a nearly four-month high. It is estimated that TSMC’s financial forecast for the second quarter should be successfully completed. In the second half of the year, Apple’s annual new machine stocking momentum will continue to help its advanced process capacity utilization rate rebound.

The advanced process business is TSMC’s golden hen, which affects the overall performance trend. Legal persons generally expect that TSMC’s revenue in the third quarter will be better than that in the second quarter, with an increase of 5% to more than 10%, and it is estimated that the performance in the fourth quarter may continue to rise.

Liu Deyin, chairman of TSMC, said a few days ago that due to the adjustment period of industrial inventory this year, the company’s performance may show a little negative growth, but the prospects are still very bright, and it is ready for the next wave of good growth next year.

7 tykkäystä

This is the (China) way.

ChatGPT:n yhteenveto Bloombergin artikkelista.

Entinen Samsung Electronicsin johtaja on syytettynä piirustusten ja suunnitelmien varastamisesta pyrkimyksenään luoda kokonainen puolijohdetehdas Kiinaan. Syyttäjien mukaan kyseessä on erittäin kunnianhimoinen yritys kehittää maailmanluokan siruntuotantokykyä maailman toiseksi suurimpaan talouteen.

Syyttäjät ilmoittivat pidättäneensä 65-vuotiaan henkilön, jota syytetään kaupallisten salaisuuksien varastamisesta vuosina 2018-2019 kopioimaan sirutehdasta Xi’anin kaupungissa. Investointia tuki tuntematon taiwanilainen yritys. Syytetyn varastamaa yritystä ei mainittu nimeltä, mutta sitä kutsuttiin maailman suurimmaksi muistipiirivalmistajaksi.

Tämä tapaus sijoittuu Kiinan ja Yhdysvaltain kasvaviin jännitteisiin, joissa Yhdysvaltain hallinto on pyrkinyt rajoittamaan Kiinan siruntuotantokykyä. Tämä voi aiheuttaa jännitteitä Koreassa, Taiwanissa ja Kiinassa, jotka ovat merkittäviä siruntuotannon keskuksia ja Yhdysvaltojen liittolaisia.

Samsungin edustajat eivät kommentoineet asiaa. Tällaiset tapaukset, joissa yritetään kopioida kokonaisia sirutehtaita, ovat harvinaisia. Yleensä immateriaalioikeuksiin liittyvät tapaukset keskittyvät avaininsinöörien rekrytointiin, suunnitelmien omimiseen tai ohjelmistojen takaisinmallintamiseen.

Syytetty yritti käyttää varastettua teknologiaa rakentaakseen kopion Samsungin sirutehtaasta Xi’anissa, mutta investointilupauksen antanut taiwanilainen yritys ei täyttänyt sitoumustaan. Sen sijaan syytetty turvautui kiinalaisiin sijoittajiin ja alkoi tuottaa siruja Chengdun sirutehtaassa Samsungin teknologian pohjalta.

Syytetty työskenteli Samsungilla 18 vuotta ennen siirtymistään toiseen tunnistamattomaan yritykseen. Hän perusti useita sirunvalmistusyrityksiä Kiinassa ja Singaporessa hyödyntäen kiinalaista ja taiwanilaista pääomaa. Hänen epäillään palkanneen yli 200 siruasiantuntijaa Koreasta ja varastaneen vähintään 300 miljardin wonin (233 miljoonan dollarin) arvoisen datan Samsungilta.

Syyttäjät nostivat syytteet myös kuutta muuta henkilöä vastaan, jotka väitetään olleen syytetyn avunantajia.

(maksumuuri)
image

Edit. Reutersin iltapäivän uutinen samasta aiheesta.

4 tykkäystä

Eilen illalla tuli mielenkiintoinen tieto Intelin mahdollisesta ankkuriomistajuudesta ARMin IPOssa. Osapuolilta ei olla saatu mitään kommentteja asiasta.

Samaan syssyyn eilinen Wall Street Journalin uutinen, josta pyysin C-GPT:n tekemään oheisen yhteenvedon. Wall Streetin analyytikot pysyvät luottavaisina yhtiön tulevaisuuden suhteen – vaikka yhtiö kuuluu pörssikäyttäytymisen perusteella samaan romahdusherkkään kategoriaan, kuin Suomen oma teknomammutti.

Nvidia:n osakkeen arvo on noussut 27 prosenttia, kun yhtiö julkisti ensimmäisen neljänneksen tulokset ja antoi positiivisen ennusteen. Nvidia valmistaa siruja, jotka mahdollistavat tekoälyn käytön datakeskuksissa. Osakkeen arvon kasvu on tuonut yhtiön markkina-arvon lähes biljoonaan dollariin, ja monet analyytikot uskovat sen ylittävän tämän rajapyykin.

Nvidia on nyt kaksi kertaa arvokkaampi kuin seuraavaksi suurin puolijohdeyritys, Taiwan Semiconductor Manufacturing (TSMC). Vaikka TSMC tuottaa siruja, joita Nvidia myy, Nvidia erottuu muista biljoonan dollarin yrityksistä, kuten Applesta, Amazonista ja Alphabetista, sillä sen vuosittainen liikevaihto on vähemmän kuin näiden yhtiöiden kymmenesosa.

Vaikka suurin osa analyytikoista on positiivisia Nvidia:n suhteen, jotkut sijoittajat ovat hermostuneet osakkeen voimakkaasta noususta. Osakkeen arvo on laskenut 3 prosenttia sen jälkeen kun se saavutti huippunsa toukokuun lopussa. Nvidia:n aiempi historia on aiheuttanut varovaisuutta sijoittajissa, sillä aiemmin osakkeen arvo on romahtanut äkillisesti.

Yhtiön liiketoiminnan vahva kasvu ei kuitenkaan ole ohimenevää. Yhtiö odottaa liikevaihtonsa kasvavan 55 prosenttia kuluvan tilikauden aikana ja keskimäärin 29 prosenttia seuraavan kolmen vuoden aikana. Datakeskusten kysynnän kasvu tarkoittaa, että Nvidia:n liiketoimintaan liittyvät sirut nauttivat korkeasta hinnoitteluvallasta. Yhtiön osakkeen arvostus on laskenut sen myötä, että tulosennusteet ovat kasvaneet ja osakekauppaa käydään nyt 44 kertaa eteenpäin lasketun tuloksen verran. Nvidia on vakiinnuttanut asemansa suurten yhtiöiden joukossa.

7 tykkäystä

"2. Taiwan Semiconductor Manufacturing
Listalle pääsi vain kaksi teknologiayhtiötä, teknologiasektorin arvon noustua ylihinnoittelun puolelle tämän vuoden kurssinousujen myötä. Toinen yhtiöistä on Taiwan Semiconductor Manufacturing, joka on maailman suurin sopimussiruvalmistaja. TSMC:n uskotaan olevan merkittävä hyötyjä suurteholaskennan eli supertietokoneiden käytön ja tekoälyn kehityksessä.

Morningstar näkee vain vähän laskupotentiaalia yhtiön osakkeen hinnassa, sillä varaston korjaus on jo hinnoiteltu osakkeeseen. Morningstarin analyytikko Phelix Leen mukaan TSMC tehnyt verrokkiyhtiöitään vakaammin tuottoa, joka on johtanut tasaisesti kasvaviin osinkoihin vuosien varrella."

2 tykkäystä

Tänään kahdeksalta suomen aikaa vähän AMDn AI-hypejunaa

Uusia lähikuukausina myyntiin tulevia serveriprossuja hypetetään varmasti ja AI-boomin myötä kuulemme varmasti lisää MI300 laskentakorteista joka on AMDn vastine NVIDIAn H100-vempeleille.

6 tykkäystä

https://twitter.com/DeItaone/status/1668687579963596820
AMD ANNOUNCES NEW MI300X GPU-ONLY AI ACCELERATOR

AMD SAYS NEW CHIP MEANS GENERATIVE AI MODELS NEED FEWER GPUS

AMD SAYS SAMPLING OF NEW ACCELERATOR TO COME IN 3Q

AMD SAYS PRODUCTION OF NEW ACCELERATOR TO COME IN 4Q

4 tykkäystä

Odotettua aikaisemmin tulee tuotantoon, mutta silti markkinan mielestä varmaan auttamattomasti jälkijunassa. Ja kun ei annettu mukavia benchmark-vertailuja NVIDIAn tuotokseen, todennäköisesti koska ei vielä tarpeeksi finaali, tulkittiin “ei pärjää H100-vertailussa”. Kurssi ottaa pientä osumaa…

Metan kanssa diilailu ja PyTorchin sovittaminen myös AMD-raudalle oli mielestäni hyvä uutinen, mutta myös aika lailla odotettua. Kaikki tärkeät AI-jutut kyllä väännetään nyt myös AMD-raudalle. Porttaaminen ei ole mitenkään hirveä rasti ja kysyntää varmasti on.

4 tykkäystä

Tämä tuli vähän yllätyksenä. Ilmeisesti Puolalla oli tarjota tarpeeksi EU:lta saatua tukiaispätäkkää, jolla se pystyi taivuttelemaan Intelin. Ja onhan se puolalainen työvoimakin 2000:n työntekijän tehtaassa melko edullista muihin maihin nähden.

En halua politisoida ketjua, mutta tässä tilastoa EU:n maksajista ja edunsaajista. Tuoreempaa dataa en tähän hätään löytänyt, mutta ihan vain kommenttini tueksi.

Menee aika pahasti ohi ketjun aiheesta, mutta…

Kovasta verotuksesta maa ei ainakaan ole tunnettu. :slight_smile: Tätä pitäisi varmaan kysyä Euroopan komissiolta. Hieman tuo Belgiakin ihmetyttää. Pitää tietenkin huomioida, että tilasto on vuodelta 2018 ja tilanne voi olla muuttunut.

13 tykkäystä

Luxemburg saamapuolella?

Miten ihmeessä?

1 tykkäys

Taitavasti Intel pelaa tätä peliä. Investointeihin menee miljardeja omaa rahaa mutta tukiaiset sekä USA:ssa että Euroopassa ovat valtavia, jopa ennennäkemättömiä. Edistyneimpien puolijohteiden valmistus on siirtymässä massiivisella volyymillä Aasiasta Amerikkaan ja Eurooppaan. Vuosina 2026-2028 muutos tulee olemaan valtava.

Puola-uutisen jälkeen Saksan lehdistö lähti kyselemään maan hallinnolta, notta kuinkas se meirän tehras ja kansleri Scholz kiirehti kertomaan, että Gelsingerin kanssa on maanantaina palaveri. Eiköhän se tehdas saada myös Magdeburgiin, kun vaan euroja on riittävästi tarjolla rakentamiseen.

Tässä on edelleen vedonlyönti auki Intelin osakkeella. TSMC ja Samsung ovat saamassa lähivuosina todellisen haastajan foundry-puolelle kaikkein edistyksellisimpien piirien valmistukseen. Kapasiteettia Intel rakentaa kaikkialta tukea tyrkyttävien valtioiden avulla poikkeuksellisen edullisesti.

11 tykkäystä

AMD yrittää tosissaan AI-apajille. Hinnoittelu vaikuttaa aggressiiviselta, joten jos tuosta MI300:sta on mihinkään, kysyntää löytyy varmasti. Amazonin kerrottiin aiemmin viikolla harkitsevan AMD:n piiriä datakeskuksiinsa.

Yksi merkittävä ominaisuus tulevissa AI-piireissä on myös virrankulutus. Vaikka suorituskyvyssä piirien välillä olisi jonkin verran eroja, virrankulutuksesta voi tulla merkittävä kilpailutekijä virtasyöpöillä piireillä. Olemassa olevien datakeskusten räkkien virransyöttöä ei ole suunniteltu AI-piireille, joten siitä voi nopeasti muodostua melko mittava ongelma. Jäähdytyskin voi muodostua ongelmaksi. Uusien datakeskusten kohdalla ongelmaa ei tietenkään ole, sillä mitoitukset tehdään varmasti tulevaisuutta silmällä pitäen.

image

Edit. Twiitissä mainittu Samsung HBM3 DRAM →

6 tykkäystä

Räkit virtalähteineen on bulkkikamaa, että ei niistä kai mitään kovin kummoisia ongelmia tule.

Sen sijaan omia rahoja allokoitaessa ainakin meikäläistä mietityttää nuo kovin korkeat arvostuskertoimet NVIDIAlla ja AMD:llä. Siksi salkusta löytyykin Intel, TSMC ja Applied Materials. Intel yllätyksekseni aika paljonkin miinuksella. Intel on ikään kuin hinnoiteltu markkinoilla siihen malliin, että koko firma olisi menneen talven lumia, eivätkä valtavat investoinnit tule koskaan onnistumaan.

No voihan toki niinkin käydä, ei se mahdotonta ole, mutta samat firmathan niitä koneita kuitenkin eri valmistajille tuottaa. Että miksei Intelkin taas saisi parempaa vaihdetta päälle. Tuottaa kuitenkin voittoa koko ajan, eikä kyse ole mistään hypefirmasta, että josko joku tuote joskus saataisiin tehtaasta ulos ja joku sitä kenties haluaisi ostaakin.

1 tykkäys

Kirjoitin virransyötöstä, joka on eri asia.

Haluatko tarkentaa, että mikä siinä virransyötössä on ongelma?

Virransyötön laskenta ja jakaminen perustuu moniin tekijöihin esim. räkkien lukumäärään, niiden tehonkulutukseen, käytettävissä olevaan kapasiteettiin ja mahdollisiin sopimuksiin tai erityisvaatimuksiin.

Jos olemassa olevan konesalin tehonkulutusta lisätään vaikkapa 20-50% on hyvin todennäköistä, että virransyöttökapasiteetti ei riitä. Jos kapasiteetti ylitetään merkittävästi pitää mm. varavirta- ja jäähdytysjärjestelmät suunnitella uudestaan eli kyse on isoista muutoksista koko konesalin toimintaan. Käytännössä konesali pitäisi päivittää kokonaan vastaamaan uusia tarpeita. Tämä on toki tehtävissä, mutta kallista.

Olemassa olevilla räkeillä on tottakai myös maksimitehonsa, jota ei voi ylittää, vaikka tehokkaampia virtalähteitä saisi kaupoista. Tämä ei ole mikään uusi asia – AI tekee siitä vain aikaisempaa hankalampaa. Viimeksi toissapäivänä Nokia muuten viittasi AI:n lisääntymiseen ja räkkien tehonkulutukseen omassa NI-puolen esityksessään kertoessaan virtapihistä piiristään.

2 tykkäystä